Implicit handle: this

SystemVerilog: Implicit handles

Introduction In the last blog post [SC(SECL1] Farmer Ted asked you to keep track of his animals and you wrote some…

SystemVerilog: Class Member Visibility

SystemVerilog: Class Member Visibility

Introduction Farmer Ted wants to keep track of the animals on his property and asks you to write the code….

Parking lot with an Automobile and Pickup, plus class variables

Class Variables and $cast

Introduction My previous post showed how SystemVerilog class variables can refer to base and derived objects. This post shows you…

Base and derived classes and their handles

Class Variables and Assignments in SystemVerilog

Introduction Good OOP style says you should start your project with a common base class (or several). When you want…

Verification Class Categories

Introduction What can you describe with Object-Oriented Programming? When I learned OOP, we had cute classes like animals, cars, and…

SystemVerilog Class Variables and Objects

Introduction How can you visualize the relationship between classes and objects in SystemVerilog? This is the first post in a…

UVM Configuration DB Guidelines

UVM Configuration DB Guidelines

Introduction My previous blog posts were on static and parameterized classes to get you ready for the big game –…

SystemVerilog Static Methods

SystemVerilog Static Methods

Introduction In my last post, you learned how to create a class with a static property. This variable acts like…

SystemVerilog Classes with Static Properties

SystemVerilog Classes with Static Properties

Introduction One of the advantages of creating your testbenches with Object Oriented Programming, as opposed to traditional procedural programming, is…