Latest posts

AI-powered automatic macro placement from Aprisa

Let AI help with macro placement during place and route

Aprisa’s AI-driven Auto Macro Placement (AMP) creates a high-quality floorplan in a fraction of the time needed for manual macro placement.

Watch Aprisa customer presentations from User2User 2023

Don’t miss these on-demand webinars to learn how you and your team of designers can too, benefit from Aprisa’s superior correlation, ease-of-use and adoption and minimal guidance to achieve optimal PPA in a short TAT.

Aprisa and TSMC pave the way for mutual customers’ success at N3E 

Aprisa, the the digital implementation solution from Siemens, is certified for the TSMC N3E process.

Screenshot showing routing in the Aprisa tool.

Bring your HPC design innovations to market faster with Aprisa

With the help of the right place-and-route tool, you can bring your HPC design innovations to market faster with fewer engineering and compute resources.

Learn about Aprisa Digital IC Implementation from real users

Join us at User2User to hear how digital IC designers from Maxlinear, Arm and Indie Semiconductor use Aprisa, and meet with industry experts!

How place-and-route with intrinsic intelligence solves advanced node challenges

Aprisa place-and-route with intrinsic intelligence is a unique tool for advanced node designs. Easy to adopt, out-of-the-box best PPA, and flexible, Aprisa is the right tool to fit into any digital flow.

Hailo pushes utilization boundaries during physical implementation

Hailo AI achieves high-performance in a small area with Aprisa digital implementation from Siemens Digital Industries Software

Get control of voltage drop with an IR-driven digital implementation flow  

Aprisa supports more comprehensive methodologies to better address IR-drop issues at advanced nodes.

Learning resources for Aprisa digital implementation

Discover Aprisa’s intuitive, easy-to-use technology, and get ready for your next tapeout! Have you had the opportunity to explore Aprisa’s…