Products

Siemens EDA celebrates 20 years of collaboration with imec

By Design With Calibre

By Germain Fenger, Director of Product Management RET modeling
Calibre Semiconductor Manufacturing solutions

This year marks the 20th anniversary of the collaboration between imec and Siemens EDA – an amazing journey of two companies supporting the semiconductor industry as features sizes shrink with every manufacturing process node.

Since their founding in 1984, imec, has played a crucial role in helping the semiconductor industry develop the latest technology and train engineers. Patterning used to solely rely on scaling the wavelength and numerical aperture of steppers and scanners, but with the introduction of the 180 nm node in the 1990s, the use of software became necessary to achieve the required resolution and CD control across all pitches.

In 1999, Mentor Graphics (now Siemens EDA) acquired a small company called OPC technology, founded by Nick Cobb and Avideh Zakhor, and released their first model-based OPC tool, Calibre OPCpro. The team recognized the potential of collaborating with imec for accelerated development, which included access to a physical fab for experimentation and demonstration of tools in practice, an innovative environment with researchers from around the world, and a partnership network of suppliers that creates additional synergies.

In parallel, Mentor and the Rochester Institute of Technology (RIT), under the guidance of Prof. Bruce Smith, initiated a collaboration. RIT PhD students were sponsored by Mentor to become on-site members of the imec patterning team for one-year assignments, working jointly with the Mentor and subsequently Siemens EDA factory teams. A total of 13 students from RIT and the University of Chicago worked at imec for a year or longer, and four of them went on to become permanent employees of Siemens EDA in the Calibre Semiconductor Solutions group.

Starting in 2013, Mentor expanded their presence in imec with permanent on-site engineers along with an RIT student. This type of deep collaboration pays dividends for Siemens EDA users.

Image from recent research presented at SPIE on a method to perform Optical Proximity Correction (OPC) model calibration that is also sensitive to lithography failure modes and takes advantage of the large field of view (LFoV) e-beam inspection. 

The teams have undertaken a variety of projects that reflect the evolution of patterning technology, such as exploring inverse lithography starting with DUV lithography (Calibre Pixbar), multi-patterning design decomposition for DUV and EUV (Calibre DP/MP), directed self-assembly (Calibre DSA), process modeling utilizing contours, and OPC for EUV lithography (Calibre nmOPC). We are now preparing for the next generation, High-NA EUV, including anticipated new mask materials, curvilinear data processing, field stitching for High-NA reticles, expanding advanced ILT solutions into the curvilinear domain, and identifying the root causes of hotspots and failures in complex processing sequences – learning that will be leveraged in a new set of fab tools in the Calibre product family.

Learn more about the Calibre IC manufacturing solutions.

Calibre design software including DRC, LVS and data preparation suites have served as the key to support all the activities. These efforts serve a wide range of applications in the industry, including next generation logic, memory, MEMS, and sensors, as evidenced by 58 joint publications at key industry conferences.

Leveraging the collaboration, imec and Siemens EDA also participated in broader research efforts sponsored by the European Union, TAPES3, a project to prepare the technology infrastructure for the 3 nm semiconductor node, and MADEin4 driving manufacturing technology under the industry 4.0 paradigm.

20 years of research has spanned at least ten technology nodes – 180 nm to 3 nm! Moore’s law continues with new dimensions – looking into the third dimension of scaling and expanding the synergies from the chip design – manufacturing interaction to a system level co-optimization. The teams of imec and Siemens EDA have identified new challenges to collaborate on going forward in pursuit of serving our common partners and customers. So happy anniversary to us, Siemens EDA and imec. We are looking forward to the next twenty years of fruitful research and collaboration! 

From the archives – read Mentor Teams Up with IMEC in EDN.  

Leave a Reply

This article first appeared on the Siemens Digital Industries Software blog at https://blogs.sw.siemens.com/calibre/2023/03/28/siemens-eda-celebrates-20-years-of-collaboration-with-imec/