Products

IC package designers—looking for multi-die, system-level signoff verification?

By Design With Calibre

By Shelly Stalnaker

Ever tried a food sample when you were shopping…not just because it’s free food (!), but because you wanted to know if you liked it or not? What about test driving a car? Or trying on clothing? We’ve all done these things, because hey, we wanted to know—will that make a good tasting dinner, or can I really go from 0 to 60 in under five seconds, or are those pants going to be too long for me?

The same principal holds true for software. Companies want to know that their investment (which includes their valuable time and resources) will provide the functionality and results they expect or need. That’s why we’re offering a free trial of our Calibre 3DSTACK tool to IC package designers using the Xpedition Substrate Integrator (xSI) and Xpedition Package Designer software.

The Calibre 3DSTACK tool extends Calibre die-level signoff verification to complete signoff verification of a wide range of 2.5D and 3D stacked die designs. Designers can run signoff DRC and LVS checking of complete multi-die systems at any process node using existing tool flows and data formats. Calibre 3DSTACK functionality is integrated with xSI to provide comprehensive signoff physical verification of heterogeneous integrated assemblies, using foundry/OSAT assembly design kits.

What does Calibre 3DSTACK software provide package designers? Here’s a small glimpse:

  • checking for accurate alignment between different die in a multi-die package assembly
  • checking of standalone interposer/package connectivity without having to include individual die design databases
  • system-level connectivity checking for the multi-die package assembly to verify that dies, interposers and packages are connected as intended
  • dynamic cross-probing and error markers to quickly identify and resolve issues, ensuring the design matches manufacturing outputs
  • one Calibre cockpit for assembly-level DRC, LVS, and parasitic extraction, without disruption to traditional packaging formats and tools

When you’re ready to see how much better your package verification can be with Calibre 3DSTACK, click here to get the offer details and get started!

Leave a Reply

This article first appeared on the Siemens Digital Industries Software blog at https://blogs.sw.siemens.com/calibre/2022/02/16/ic-package-designers-looking-for-multi-die-system-level-signoff-verification/