Thought Leadership

Prospecting for Reusable Assets with Portable Stimulus

By Matthew Ballance

You may have verification information in your organization that you can use now to jump-start creation of portable stimulus models and not realize it!

Portable Stimulus is all about reuse. The Accellera PSS standard promotes test intent reuse throughout the verification process and across the engines on which those verification runs are performed.  As users consider applying portable stimulus, one immediate realization is the limited amount  of portable stimulus content (quite likely none) currently available within their organizations. While this realization could be cause for alarm and concern, it’s also a reminder to consider the many already-existing descriptions and formats within an organization that can be reused to create portable stimulus descriptions.

SystemVerilog classes and constraints are one example of existing descriptions that can productively be reused with Portable Stimulus. Many users of the Questa inFact portable stimulus tool have already taken advantage of this reuse path by using the tool’s SystemVerilog import capability to import existing configuration classes or UVM sequence item classes and jump-start creation of portable stimulus models.

But, there are many other existing descriptions that can be leveraged to jump-start the creation of portable stimulus models. Creating SoC Integration Tests with Portable Stimulus and UVM Register Models, in the most recent issue of Verification Horizons, highlights one of these opportunities. UVM register models are heavily used at the block and subsystem level to simplify interacting with device registers. The information captured within a register model description – register addresses, which fields can be written, etc – is very helpful in creating SoC integration tests. In addition, this information is captured in a machine-readable way which makes it easy to automate reuse of this key portable stimulus information.

Test intent captured as portable stimulus models enables test intent reuse from IP to SoC, and boosts test creation productivity. Identifying existing formats and information within your organization that can be leveraged to create portable stimulus models boosts test-creation productivity that much more! What information and formats in your organization could you use to jump-start creation of portable stimulus models?

If you’re interested in learning more about portable stimulus and the automation that Questa inFact provides, please attend Portable Stimulus from IP to SoC – Achieve More Verification, a web seminar I’m hosting on Septeber 25th.

Comments

One thought about “Prospecting for Reusable Assets with Portable Stimulus

Leave a Reply

This article first appeared on the Siemens Digital Industries Software blog at https://blogs.sw.siemens.com/verificationhorizons/2018/09/05/prospecting-for-reusable-assets-with-portable-stimulus/