Products

Choosing a SAMP process at 5nm and below

By Design With Calibre

By David Abercrombie, Rehab Kotb Ali, Ahmed Hamed-Fatehy – Mentor, A Siemens Business

SADP, SAQP, and SALELE all have pros and cons for manufacturing at advanced nodes. We explain the differences so you can make the best choice for new technologies….

In our first joint paper with IMEC, we explained the basic flows for three self-aligned multi-patterning (SAMP) processes: self-aligned double patterning (SADP), self-aligned quadruple patterning (SAQP), and self-aligned litho-etch-litho-etch (SALELE) multi-patterning. However, just knowing how a process works doesn’t really help anyone decide which one to use. There are a lot of factors that go into that decision, so understanding the applicable conditions and tradeoffs is crucial to both foundries and design companies when determining the best process solution for advanced node applications.

Such as, you say? Constraints and limitations such as metal width and spacing, creating an odd/even number of internal tracks, block mask density, edge placement error (EPE) “budget,” lithographic margin, pitch walking susceptibility, parasitic capacitance and resistance, and extreme ultraviolet (EUV) stochastics sensitivity are all factors that affect the choice of SAMP process at 5nm and below.

To give you an idea of how one of these factors could influence that decision, let’s talk briefly about metal width and spacing. The SADP process gives you full control over the width of the metal with no limitations, but does constrain the spacing between tracks, while the SAQP process restricts width variation to specific locations created by specific processing steps. In SALELE, there are no metal width or spacing limitations, because both tracks are directly printed on the wafer. With that knowledge, both foundries and design companies can make informed decisions during design and manufacturing.

To read a full discussion about each of these constraints and their impact on designs, download a copy of our second collaboration with IMEC, Comparing multi-patterning options at 5nm and below: SADP, SAQP, and SALELE. We provide you with detailed explanations and examples to help you understand the potentially less-obvious process sensitivities, design limitations, and trade-offs between SAMP approaches.

Leave a Reply

This article first appeared on the Siemens Digital Industries Software blog at https://blogs.sw.siemens.com/calibre/2019/12/09/choosing-a-samp-process-at-5nm-and-below/