Corporate

Tessent Multi-Die: The Era of 3DIC

In the ever-evolving world of technology, the drive to achieve higher performance, greater efficiency, miniaturization, and cost-effectiveness in the realm of integrated circuits (ICs) has continually intensified.

Traditional 2D ICs are hitting a critical point where the limits of physics are being reached, leading to the inability to further fulfill the industry’s goals. This has paved the way for Multi-die integrations, referred to as 3DIC, that include 2.5D, 3D, and 5.5D integrations (as per the IEEE 1838 standard).

By stacking and connecting multiple dies into a single package -whether in a horizontal manner on a silicon interposer (2.5D), a vertical manner using through-silicon vias (TSVs) (3D), or a combination of both (5.5D)- this approach offers a way to scale performance beyond the constraints of 2D designs. Thus, unlocking new possibilities for applications requiring high reliability and performance, such as advanced driver-assistance systems (ADAS), autonomous driving, aerospace, and medical devices, and with implications that could reshape the future of technology.

Tessentä Multi-Die provides you with comprehensive solutions for the design and testing of complex Multi-die systems.

Key features of Tessent Multi-die:

  1. Integration of different types of dies, such as logic and memory, into a single package, enhancing overall system performance and functionality and leading to more efficient and compact packaging.
  2. Implementation of Design-for-Test (DFT) for different highly complex Multi-die architectures utilizing the IEEE 1838 standard, which is the standard for test access architecture for 3D stacked ICs.
  3. Test Automation for Multi-die designs and the generation of test infrastructure for designs interconnected through advanced packaging techniques.
  4. Extends the use of Tessent BoundaryScan, logic test, IJTAG, MemoryBIST/Repair, TestKompress, and Streaming Scan Network (SSN) and allows for seamless integration with the different tool suites.
  5. Hierarchical and die-to-die testing and diagnosis to ensure that defects in the manufacturing process at all levels can be detected and isolated.
  6. Support of different IEEE standards such as IEEE 1149.1, IEEE 1687, and IEEE 1838 to provide interoperability with other tools and enhance the reliability and monitoring capabilities of the advanced systems.
  7. Yield optimization by efficiently testing and diagnosing potential manufacturing defects using “known good dies”, which are individually tested before assembly, thus reducing time-to-market, minimizing costs associated with defective dies, and ensuring that the designs are highly reliable.

If you’d like to learn more about Tessent Multi-Die, you can take our on-demand training course Tessent Multi-Die: On-Demand Training where you will have access to training videos, self-assessments, and lab exercises. You can also find valuable resources in our Tessent Multi-Die design for test software for 2.5D and 3D | Siemens Software page. Additionally, you can now earn a digital badge/level 1 certificate for Tessent Multi-Die by taking our Badging and Certification Exam. If you successfully pass the Level 1 Certification Exam, you can earn a digital badge and display it on LinkedIn or your email signature. For additional questions or assistance with our training courses, contact a Siemens representative at xceleratoracademy_eda.disw@siemens.com.

Author: Nada Amgad, Customer Training Engineer, Siemens EDA Learning Services

Massoud Eghtessad

Leave a Reply

This article first appeared on the Siemens Digital Industries Software blog at https://blogs.sw.siemens.com/xcelerator-academy/2024/10/08/tessent-multi-die-the-era-of-3dic/