Products

How to use logic BIST for automotive functional safety

By Tessent Solutions

The promise of autonomous vehicles is driving profound changes in the design and testing of automotive semiconductor parts.

ICs for automotive uses cover everything from simple functions like controlling windows or light signaling to complex, safety-critical functions related to advanced driver-assist systems (ADAS) and autonomous driving. The ICs need to meet the stringent safety requirements of the ISO 26262 standard. Designers need new solutions to ensure automotive electronic systems operate safely at all times throughout the life of the vehicle. This is known as functional safety.

Functional safety relies on circuitry within the design, referred to as safety mechanisms, to check for the correct functional operation of the design during key-on, key-off, and also during online operation. The ability of these safety mechanisms to detect faults will determine the overall diagnostics coverage of the design, and thus the level of Automotive Safety Integrity Level (ASIL) that can be achieved.

The ASIL target depends on a hazard analysis for an automotive system. ASIL-A and B are the least safety-critical application like the in-car infotainment system. Whereas ASIL-D would be required for an automated braking system.

To achieving the required ASIL level, designers perform extensive simulation of potential random faults that could occur within the design. This fault simulation process is similar to the design-for-test (DFT) fault simulation, but not all faults are equal when it comes to functional safety. That means there are differences between the familiar DFT metrics and our functional safety metrics that must be reported for ASIL certification. Designers can use a combination of traditional logic BIST and safety analysis software to align the DFT and functional safety diagnostics metrics to meet the ISO 26262 functional safety requirements.

To learn more, download this whitepaper, “Using built-in-self-test hardware to satisfy ISO 26262 safety requirements” that describes how to correlate the DFT test coverage and fault coverage metrics with the functional safety metrics like diagnostic coverage, single-point fault metric, and latent fault metric.

Leave a Reply

This article first appeared on the Siemens Digital Industries Software blog at https://blogs.sw.siemens.com/tessent/2020/06/17/how-to-use-logic-bist-for-automotive-functional-safety/