Products

Okay, I released my design to the fab, now what? Design to Mask – Part 2

By Design With Calibre

By Minghui Fan – Mentor, a Siemens Business

Managing the computational demands of today’s OPC and RET takes a dedicated focus on tool usability and efficiency.

In my previous blog post, I discussed the innovations and advances in the Calibre OPC and RET computational lithography software that lead to the maximum possible process window. There is no such thing as a free lunch, so while these advances in computational lithography are essential to making a layout into an IC, they come with a cost: higher computational demands for geometrical processing and simulations. This cost shows up both in the development of the solutions you build with the software and in the deployment of these solutions in production. Here in the Calibre group, one of our charters is to ensure that we contain these computational costs as much as possible for you by providing software that is easy to use, easy to deploy, and  computationally efficient.

We understand that a difficult-to-use software can increase both the complexity of process development and cycle times. Complexity is increasing exponentially, creating solutions that work is becoming very challenging, but resources (hardware and people) are limited and schedules don’t change. So we invest in the one area that we can – in the software itself and in how to efficiently transfer know-how to your engineers so that they can execute seamlessly.

Let’s take a look at some of the advances we have been making in ensuring that our software continues to be easy to develop with and deploy.

Advances in OPC

Previously, I addressed the difficulties associated with finding the optimal placement of sub-resolution assist features (SRAFs) that gets you the process window you need and can be done in a way that’s computationally feasible. Rule-based approaches have been around for a while and they guarantee perfect consistency but can be hard to implement. Model-based approaches are easy to implement but can be limited by their consistency. The optimal solution is a hybrid approach that takes the best of both rule-based and model-based insertion schemes. This solution has become the industry standard at 14nm and below. We have developed a way to reduce recipe development time using this hybrid approach as well as making it very easy for an engineer to build the recipe. We do this with a novel automated Model Assisted Template Extraction (MATE) approach. With this approach an engineer can now do SRAF recipe development in hours as opposed to days or weeks.

 

Another recent innovation within Calibre OPC has been in how we handle OPC for memory-style designs, i.e. – large blocks of repeating arrays/structures. Typically, OPC for these types of designs is done manually. This is a slow and iterative process that can take weeks to implement and is prone to human error. We have invented a new fully-automated pattern matching technique that provides an order of magnitude speedup in the OPC development process while providing the needed accuracy.

Advances in Modeling

Another area that has been ripe for innovation is in the model development flow. Model building is a complicated and challenging process that involves multiple steps going all the way from test pattern generation to metrology recipe setup, and CD SEM data collection to actual model calibration, optimization, and verification (see Figure 1).

Figure 1. The model development flow could use some improvement.

 

This whole process can take anywhere from weeks to months to implement. When we saw this problem, we knew that we could provide solutions that would provide an order of magnitude reduction in the development time – and we did. Now an engineer can develop the whole flow in a matter of days, not months (see Figure 2)

Figure 2. We improved the model development flow.

How did we do it?

It all starts with test pattern generation. Ideally, a master library of calibration and verification patterns is generated, then sub-selected for inclusion on a reticle for exposure /measurements. OPC models need to accurately predict a huge range of structures and process window conditions test patterns must provide sufficient coverage while minimizing redundancy. Previously there was no good tooling to help an engineer with this approach, so we created a number of pattern generation and enumeration techniques that meet this challenge and we’ve neatly packaged them up in an easy-to-use model development platform.

 

The next step is in the metrology recipe setup is CD SEM data collection, a task that used to be arduous but is now fast and easy with the automated Calibre Metrology Interface (CMi).

 

Once all of this data collection is done, here comes the fun part – the part about model calibration and verification. The challenge here is to find the most accurate model in the shortest time possible. This is a hard problem that engineers struggle with and it can take weeks and weeks of data crunching to get it right. With the newish (released in 2016) Calibre model development platform, this data crunching can now be done in hours rather than weeks. This is a huge productivity boost for the engineers working on this rather demanding problem. Furthermore, while we were inventing this new model development platform, we decided to not just focus on the data crunching turnaround time, but also package it so it’s easy to setup, run, visualize, and analyze. So now the OPC/RET engineers not only have a super-fast engine to work with but also an easy-to-use interface to play with.

Knowledge Transfer

Those were just some examples of the continual innovations we are doing in our software. That said, we also realize that creating an easy-to-use solution is not just about creating functionalities and features, it’s also about being able to document what it does, how to use it, and making sure that this information is easily accessible.

 

We were a little prescient here. While our competitors were struggling to simply keep up with the software demands, we were making significant investments in our knowledge transfer capabilities– including but not limited to written documentation, recorded and live demos, application notes, best practice documents, customer webinars, etc. All of this is available to every engineer 24/7 via our online support portal. This is a capability that is unique to us. We didn’t have to invest here, but we did knowing fully well that your ability to access this information is absolutely critical to your success.

 

 

Leave a Reply

This article first appeared on the Siemens Digital Industries Software blog at https://blogs.sw.siemens.com/calibre/2017/07/05/okay-i-released-my-design-to-the-fab-now-what-design-to-mask-part-2/